submit news    HOME | FEEDBACK  


« NAVIGATION »
NEWS

- Bio/Medicine

- Chemicals

- Defense

- Drug Delivery

- Education

- Electronics

- Energy

- Events

- Grants

- Industry

- Investment

- Litigation

- Materials

- MEMS

- Nanofabrication

- Nanoparticles

- Nanotubes

- Optics

- Partnership

- Patent

- Products

- Quantum dots

- Research

- Smart Dust

- Software
COMPANIES
EVENTS

- Browse by Month

- Current Shows

- Previous Shows

- Submit Events
FEEDBACK
ADVERTISE
LINK TO US

« PARTNERS »
Become A Nanotechwire Partner

FEI Company

Veeco Instruments

Nano Science and Technology Institute

National Nanotechnology Initiative

Nanotechnology at Zyvex

Want to see your Company or Organization listed above? Become A Nanotechwire Partner Today - click here
« NEWSLETTER »



« SEARCH »







UAlbany CNSE
http://www.cnse.albany.edu/
The UAlbany CNSE is the first college in the world dedicated to research, development, education, and deployment in the emerging disciplines of nanoscience, nanoengineering, nanobioscience, and nanoeconomics. In May 2007, it was ranked as the world's number one college for nanotechnology and microtechnology in the Annual College Ranking by Small Times magazine. CNSE's Albany NanoTech complex is the most advanced research enterprise of its kind at any university in the world: a $4.2 billion, 450,000-square-foot complex that attracts corporate partners from around the world and offers students a one-of-a-kind academic experience. The UAlbany NanoCollege houses the only fully-integrated, 300mm wafer, computer chip pilot prototyping and demonstration line within 65,000 square feet of Class 1 capable cleanrooms. More than 2,000 scientists, researchers, engineers, students, and faculty work on site at CNSE's Albany NanoTech complex, from companies including IBM, AMD, SEMATECH, Toshiba, ASML, Applied Materials, Tokyo Electron, Vistec Lithography and Freescale. An expansion currently underway will increase the size of CNSE's Albany NanoTech complex to over 800,000 square feet, including over 80,000 square feet of Class 1 capable cleanroom space, to house over 2,500 scientists, researchers, engineers, students, and faculty by mid-2009.

ASSOCIATED NEWS:
5/19/2011 12:57:50 PM | Partnership | 0 comments
FUJIFILM Joins SEMATECH�s Resist Center for Advanced EUV Resist Development at UAlbany NanoCollege
As a resist member of SEMATECH�s lithography program, FUJIFILM will collaborate with SEMATECH engineers on critical resist issues in extreme ultraviolet (EUV) lithography.
5/17/2011 9:27:52 AM | Partnership | 0 comments
APIC Corporation and UAlbany NanoCollege launch $10M partnership to develop and commercialize innovative 'green' computer chip technology
Collaboration will integrate optics and electronics and result in the creation of 20 high-tech jobs
5/16/2011 10:40:32 AM | Research | 0 comments
UAlbany NanoCollege Professor Shadi Shahedipour-Sandvik Receives the UAlbany Award for Excellence in Research
As a highly accomplished scientist and researcher in a broad set of fields ranging from nano-device engineering to quantum dots, her research focuses on development of high performance wide bandgap III-Nitride optoelectronic materials and devices.
5/9/2011 12:21:20 PM | Industry | 0 comments
CNSE Senior Vice President & CEO Dr. Alain E. Kaloyeros is inducted into the Tech Valley Business Hall of Fame
Dr. Alain E. Kaloyeros, Senior Vice President and Chief Executive Officer of the College of Nanoscale Science and Engineering (CNSE) of the University at Albany, was inducted into the Tech Valley Business Hall of Fame on May 4.
5/9/2011 12:20:01 PM | Education | 0 comments
UAlbany NanoCollege announces winners of New York State Business Plan Competition
Syracuse company tops more than 20 student-led teams from colleges and universities across the state to win contest featuring over $100,000 in prizes
4/22/2011 4:40:13 PM | Events | 0 comments
UAlbany NanoCollege to host prestigious International Nanotechnology Conference on Communication and Cooperation
Event originally scheduled for Japan will be held May 16-19 at CNSE�s Albany NanoTech Complex
4/13/2011 4:39:36 PM | Partnership | 0 comments
Carestream Health and CNSE's Smart System Technology & Commercialization Center launch $3M partnership for innovative research and prototyping
Collaboration will create high-tech jobs and fill last available space at CNSE�s STC in Canandaigua
4/13/2011 4:38:45 PM | Education | 0 comments
UAlbany NanoCollege presents 'Nanotechnology and Nature' program at Albany Pine Bush Preserve Discovery Center
Nearly 100 middle-school students from three Capital Region schools experienced the convergence of �Nanotechnology and Nature� during an educational outreach program presented by the College of Nanoscale Science and Engineering (CNSE) of the University at Albany on April 12 at the Albany Pine Bush Preserve Discovery Center.
4/13/2011 4:23:08 PM | Industry | 0 comments
U.S. Department of Energy Awards Funding to CNSE CIGS Solar Project
Veeco to Serve as Key Partner to New Facility
4/7/2011 11:46:23 AM | Industry | 0 comments
In major victory, Schumer announces CNSE-SEMATECH big winner of high-tech grant that will make Albany a national center in solar cell research and create thousands of jobs
Photovoltaic Consortium Will Be Hosted At Albany�s College of Nanoscale Science And Engineering; Will Boost Cutting-Edge, High Tech Industry In Capital Region
3/30/2011 11:48:13 PM | Industry | 0 comments
CNSE�s Smart System Technology and Commercialization Center to receive $5 million from Empire State Development
Funds will expand nanoelectronics industry in Finger Lakes Region and create high-tech jobs
3/29/2011 2:04:18 PM | Education | 0 comments
UAlbany NanoCollege presents weeklong series of �Nano in the Mall� programs for National NanoDays 2011
The growing impact of nanotechnology on society, including the role of nanoscale education, research and development in health care, clean energy, the environment and electronics, is the focus of a �Nano in the Mall� community outreach initiative presented by the College of Nanoscale Science and Engineering (CNSE) of the University at Albany in conjunction with National NanoDays 2011.
3/27/2011 5:02:16 PM | Electronics | 0 comments
UAlbany NanoCollege to mark National NanoDays 2011 with educational and community outreach initiatives
Girl Scouts �Go Nano� event, �Nano in the Mall� program and community presentations to highlight CNSE�s leadership in the emerging nanotechnology economy
3/21/2011 8:36:31 PM | Industry | 0 comments
Governor Cuomo Announces Silicon Valley Semiconductor Wafer Company to Develop High-Tech Facility in Albany
Group4 Labs will locate facility at UAlbany's College of Nanoscale Science and Engineering
3/16/2011 10:00:06 AM | Education | 0 comments
UAlbany NanoCollege to host expanded Business Plan Competition for students
Applications due March 25 for second annual event showcasing student-born innovations
3/16/2011 9:58:46 AM | Grants | 0 comments
Ontario County LDC awards $75K grant to CNSE�s Smart System Technology & Commercialization Center of Excellence
Matching grant will help fund master plan, paving the way for �shovel-ready� designation
3/9/2011 11:04:50 AM | Research | 0 comments
UAlbany NanoCollege launches new research platform to further enhance world-leading nanoelectronics research and development capabilities
Installation of fully-enabled 28nm technology provides state-of-the-art, industry-compatible R&D;
3/9/2011 10:21:28 AM | Partnership | 0 comments
Hynix Semiconductor Joins SEMATECH�s 3D Interconnect Program at UAlbany NanoCollege
Collaborative effort to address critical infrastructure and technology gaps to drive wide I/O DRAM solutions
3/2/2011 5:54:53 PM | Bio/Medicine | 0 comments
UAlbany NanoCollege and SUNY Downstate Medical Center partner to launch the world�s first program for research physicians in nanoscale medicine
Pioneering curricula will uniquely prepare a new generation of world-class clinical scientists and enable nanotechnology-driven applications to improve health care
3/2/2011 5:53:25 PM | Industry | 0 comments
CNSE�s Smart System Technology & Commercialization Center announces national nanosensor initiatives valued at $6M
STC selected by SPAWAR to build innovative sensor systems for U.S. military intelligence gathering, by EPRI to develop groundbreaking wireless sensor network to monitor efficiency of power generation
2/3/2011 4:59:48 PM | Industry | 0 comments
UAlbany NanoCollege hosts 150 leaders and executives in clean energy for launch of �Entrepreneurial Boot Camp� series
Forums target emerging technologies and opportunities in growing renewable energy market
1/13/2011 7:55:40 AM | Education | 0 comments
UAlbany NanoCollege awarded nearly $5M in federal funding to enable nanotechnology research and education
Initiatives will support nanoscale innovation and workforce training across a variety of critical sectors
1/10/2011 11:32:59 PM | Partnership | 0 comments
Nissan Chemical Joins SEMATECH�s Resist Center at UAlbany NanoCollege
NCI will collaborate with SEMATECH engineers on advanced adhesion enhancing materials in extreme ultraviolet (EUV) lithography. Specific areas include reduction of line edge roughness (LER), elimination of pattern collapse in images below 22 nm, and ultimate resolution of new resists.
12/22/2010 4:02:21 PM | Industry | 0 comments
UAlbany NanoCollege hosts 38,000 community members, including over 3,750 students, during 2010
Participation in CNSE educational and public outreach programs grows by 25 percent from 2009
12/13/2010 11:08:17 AM | Education | 0 comments
UAlbany NanoCollege and Siena College partner to launch joint nanotechnology educational initiative
Program will provide pathway for Siena undergraduates to pursue graduate studies at CNSE
12/9/2010 11:40:08 AM | Education | 0 comments
UAlbany NanoCollege welcomes 5000th student for NanoCareer Day
The College of Nanoscale Science and Engineering (�CNSE�) of the University at Albany welcomed the 5000th student to participate in its NanoCareer Day program when it hosted more than 300 elementary, middle- and high-school students from upstate New York at CNSE�s Albany NanoTech Complex on December 8.
12/3/2010 11:02:05 AM | Industry | 0 comments
Commerce Technologies and UAlbany NanoCollege extend joint workforce development program
Innovative initiative has supported 36 student interns, including 10 hired for full-time positions at CTI�s CommerceHub
11/28/2010 12:16:35 PM | Events | 0 comments
The Future Electric Delivery System
A panel focusing on Smart Grid planning in New York State
11/16/2010 12:55:52 PM | Education | 0 comments
UAlbany NanoCollege Hosts more than 300 Elementary, Middle- and High-School Students for NanoCareer Day
More than 300 elementary, middle- and high-school students from throughout Tech Valley received a firsthand look at careers in the emerging field of nanotechnology when they visited the College of Nanoscale Science and Engineering ("CNSE") of the University at Albany for NanoCareer Day on November 15.
11/16/2010 12:54:24 PM | Partnership | 0 comments
UAlbany NanoCollege Establishes its First Global Education and Research Partnerships in the Pacific Rim
Collaborations involve three of Japan's leading educational and technological institutions
11/7/2010 11:31:42 AM | Education | 0 comments
More than 1,000 People Explore Nanotechnology During CNSE Community Day at UAlbany NanoCollege
Tours and activities highlight nanotechnology and global leadership of CNSE and NYS
11/5/2010 4:44:46 PM | Industry | 0 comments
EYP Announces the Establishment of Expanded Headquarters and Operations at the UAlbany NanoCollege
New jobs will be created as the growing EYP-CNSE partnership pursues expanding opportunities for design and engineering of �green' high-tech facilities
10/27/2010 10:34:19 PM | Events | 0 comments
UAlbany NanoCollege Announces Third Annual "NANOvember" Community and Educational Outreach Initiative
From CNSE Community Day and Lecture Series to video games, NanoCSI and Nano at the Y, activities will showcase the growing impact of nanotechnology and global leadership of CNSE
10/15/2010 3:56:27 PM | Partnership | 0 comments
Moser Baer Technologies and CNSE�S Smart System Technology & Commercialization Center Launch Global Partnership to Establish Pioneering Clean Energy Facility
$20M public-private investment will enable development of world's first pilot production line for Organic LEDs and create more than 50 high-tech jobs at CNSE's STC in Canandaigua
10/13/2010 7:51:46 AM | Industry | 0 comments
International SEMATECH Manufacturing Initiative to Relocate Its Headquarters and Operations to the UAlbany NanoCollege
$100M initiative will create more than 100 high-tech jobs and establish New York as the global leader for the nanoelectronics' industry's transition to 450mm wafer manufacturing technology.
9/21/2010 9:10:18 AM | Industry | 0 comments
New York State Announces Unprecedented High Tech and Nanotechnology Initiative
Infotonics Technology Center and College of Nanoscale Science and Engineering form unprecedented partnership to enable world-class nanotechnology research, development and commercialization.
9/15/2010 4:13:36 PM | Industry | 0 comments
Cystic Fibrosis Foundation of Northeastern New York to honor UAlbany NanoCollege at its annual 65 Roses Gala Celebration
The UAlbany NanoCollege is being recognized for its commitment to advancing healthcare through pioneering education and leading-edge research and development in nanobioscience, as well as its contributions to the economic and educational growth of the Capital Region and New York State.
9/10/2010 5:33:34 PM | Research | 0 comments
Al Stirpe Announces Creation of Nanotechnology Innovation and Commercialization Excelerator at Electronics Park
$250M Initiative Includes $28M Assembly Investment to Rehabilitate Iconic Electronics Lab and Enable New University-Industry-Government Partnership.
8/30/2010 11:50:24 PM | Research | 0 comments
SEMATECH Completes Fully Integrated 300mm Line for Via-Mid 3D ICs at UAlbany NanoCollege
Capabilities in Equipment, Processing, and Metrology to Advance 3D Integration Technologies for Future Devices
8/24/2010 12:01:06 PM | Partnership | 0 comments
SEMATECH and Dai Nippon Printing Collaborate to Develop Advanced Process Technologies at UAlbany NanoCollege
Partnership Combines Strengths to Speed Commercialization of Mask Lithography for Semiconductor Manufacturing
8/19/2010 8:57:49 PM | Partnership | 0 comments
Inter-regional Nanotechnology Partnership Becomes Reality as UAlbany and SUNYIT Spur High-tech Economic Opportunity
Silver, Destito Welcome NCCIT To Central New York
8/14/2010 1:46:22 PM | Industry | 0 comments
SUNY Research Foundation Tech Transfer Hubs Take Shape Across New York State
Innovation is the lifeblood of the 21st century nanotechnology-driven economy.
8/14/2010 1:41:23 PM | Energy | 0 comments
UAlbany NanoCollege�s E2TAC and NENY Announce Winners of Clean Energy Investment Presentations at New Energy Symposium
The Clean Energy Investment Presentations featured the hottest emerging cleantech start-ups, who showcased their revolutionary technologies to an audience of regional and national venture capitalists, private investors, investment bankers, and federal executives.
8/6/2010 7:53:18 PM | Events | 0 comments
UAlbany NanoCollege and New Energy New York Present the Fifth Annual New Energy Symposium
Hundreds expected to attend event on August 9 & 10 showcasing clean energy technologies
8/6/2010 7:51:33 PM | Energy | 0 comments
MTECH Laboratories receives $150K NSF award to demonstrate the feasibility of its novel, high-efficiency energy distribution system for large buildings
MTECH will use the award to conduct joint research with the CNSE's Energy and Environmental Technology Applications Center (E2TAC) to advance its distribution technologies for use in semiconductor manufacturing facilities and other areas.
7/7/2010 11:07:12 PM | Partnership | 0 comments
SEMATECH and Lasertec Partner at UAlbany NanoCollege to Develop TSV Solutions for Chip-Stacking Applications
Lasertec Corporation of Japan has joined SEMATECH�s 3D Interconnect Program at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany, and will partner with SEMATECH to develop robust, cost-effective process metrology technology solutions for readying high-volume via-mid through-silicon via (TSV) manufacturing.
6/10/2010 10:55:56 AM | Research | 0 comments
SEMATECH Achieves Submicron 3D IC Bond Alignment Results in Integrated Bonding Tool Platform at UAlbany NanoCollege
Key Processes Critical for Building a Strong Infrastructure for Volume Production of TSVs
6/2/2010 4:32:47 PM | Education | 0 comments
Exceptional Undergraduates Selected for Prestigious Summer Internship Program at UAlbany NanoCollege
Twenty New York State residents are among 22 students chosen to participate
6/2/2010 4:23:27 PM | Partnership | 0 comments
SEMATECH and AZ Electronic Materials to Partner on Critical Issues in EUV Lithography at UAlbany NanoCollege
Collaboration at SEMATECH�s Resist Center to demonstrate EUV materials and resists for 22nm and beyond
5/21/2010 11:21:55 AM | Events | 0 comments
UAlbany NanoCollege Selected to Host Five Prestigious Global Nanotechnology Conferences
Events will collectively draw more than 1,000 of the world's leading scientists, researchers and engineers to CNSE's Albany NanoTech Complex
5/18/2010 12:17:30 AM | Industry | 0 comments
Major Economic Development Milestone Announced to Spur Nanotechnology-Enabled Investment and Growth in the Mohawk Valley
Signing of Project Development Agreement will jump-start critical infrastructure and access projects to advance Marcy NanoCenter at SUNYIT.
5/18/2010 12:16:14 AM | Education | 0 comments
CNSE Hosts more than 300 Students for NanoCareer Day
More than 300 elementary, middle- and high-school students received a firsthand look at the exciting science of nanotechnology when they attended NanoCareer Day at the College of Nanoscale Science and Engineering's ("CNSE") Albany NanoTech Complex.
5/15/2010 12:09:07 PM | Education | 0 comments
Nineteen Albany High School Students Graduate From Groundbreaking "NanoHigh" Program
Nearly 60 students have completed first-of-its-kind educational initiative developed jointly by the UAlbany NanoCollege and City School District of Albany
5/11/2010 5:14:11 PM | Partnership | 0 comments
JSR Joins SEMATECH�s Resist Center at UAlbany NanoCollege
Collaboration to Provide Cost-Effective Semiconductor Materials for 22nm Nodes
4/29/2010 6:12:19 PM | Energy | 0 comments
UAlbany NanoCollege Hosts First-Ever Business Plan Competition that Focused on Clean Energy Technologies
Tech Valley's first business plan competition to focus exclusively on new innovations for clean and renewable energy technologies.
4/22/2010 2:21:06 PM | Industry | 0 comments
UAlbany NanoCollege Promotes Environmental Awareness on Earth Day with Hudson River Clean Up
CNSE's Center for Sustainable Ecosystem Nanotechnologies spearheads effort to remove debris
4/22/2010 2:12:53 PM | Research | 0 comments
NYS Comptroller: Funds Spent on Intended Projects at Albany NanoTech Complex
$876.1 Million Spent on Construction, Equipment and Operations
4/13/2010 7:08:27 PM | Energy | 0 comments
UAlbany NanoCollege Receives National Science Foundation Award to Fuel Growth in Green Energy Jobs and Businesses
$600,000 grant through NSF's Partnerships for Innovation Program supports economic and workforce development through nanotechnology-enabled innovations in clean energy.
4/2/2010 7:24:07 PM | Education | 0 comments
UAlbany NanoCollege Announces Launch of Pioneering Undergraduate Degree Program in Nanoscale Engineering
Groundbreaking curriculum to offer unparalleled education and training to support nanotechnology career opportunities and development of New York's high-tech workforce.
4/2/2010 7:22:04 PM | Partnership | 0 comments
UAlbany NanoCollege and Technic Inc. Launch Partnership to Enable Innovative Processes for Solar Cell Manufacturing
Program targets the development of low-cost metallization to drive improved efficiency
3/12/2010 1:26:54 PM | Energy | 0 comments
UAlbany NanoCollege Receives NYSERDA Funding for Energy-Storage Technology Project
Projects Support the Work of NY-BEST, New York's Consortium to Support Growth of Energy Storage Industry in New York State.
3/12/2010 1:26:11 PM | Education | 0 comments
UAlbany NanoCollege Hosts More Than 300 Students for NanoCareer Day
More than 300 elementary, middle- and high-school students from throughout the Tech Valley region and beyond received a firsthand look at careers in the exciting field of nanotechnology when they visited the UAlbany NanoCollege and its $5.5 billion Albany NanoTech Complex.
2/26/2010 6:22:12 PM | Partnership | 0 comments
TEL Joins SEMATECH�s Lithography Program at UAlbany NanoCollege
Joint Effort by Longtime Partners to Develop Resists for EUVL Processing
2/18/2010 6:53:41 PM | Partnership | 0 comments
SEMATECH Kicks Off Consortium at UAlbany NanoCollege to Develop Crucial EUV Metrology Tools
Collaboration at CNSE�s Albany NanoTech Complex will Target Mask Defects at 22 nm and Below
2/17/2010 8:32:28 PM | Events | 0 comments
Research at UAlbany NanoCollege Contributes to Over 40 Technical Papers at Leading Global Lithography Forum
Innovative work by researchers from CNSE and its global corporate partners at CNSE's Albany NanoTech Complex to be featured at SPIE Advanced Lithography Conference
2/12/2010 7:01:49 PM | Partnership | 0 comments
Novellus Systems, IBM and the UAlbany NanoCollege Establish Strategic Partnership at CNSE's Albany NanoTech Complex
First Program Targets Development of Photoresist Strip Processes for Advanced Computer Chip Technologies
2/9/2010 7:03:27 PM | Partnership | 0 comments
SEMATECH and ASML Form Partnership at UAlbany NanoCollege to Tackle Crucial EUVL Challenges
Collaboration at CNSE�s Albany NanoTech Complex to accelerate mask, source, and manufacturing solutions
2/5/2010 12:35:32 AM | Research | 0 comments
Dow Electronic Materials Joins SEMATECH�s Resist Materials and Development Center at UAlbany NanoCollege
To advance the development of next-generation patterning technologies, Dow Electronic Materials will collaborate with SEMATECH researchers at CNSE�s Albany NanoTech Complex to develop and demonstrate extreme ultra-violet lithography (EUVL) materials and resists for use at the 22 nm node and beyond.
1/28/2010 6:21:33 PM | Partnership | 0 comments
UAlbany NanoCollege and JSC Innovative Technopark in Republic of Tatarstan to Collaborate
The College of Nanoscale Science and Engineering (CNSE) and the JSC Innovative Technopark (IDEA) in the Republic of Tatarstan today signed a Memorandum of Understanding to establish a framework for collaboration in nanotechnology education, research and commercialization.
1/24/2010 8:53:24 PM | Education | 0 comments
Three High School Student Researchers at UAlbany NanoCollege Named Semifinalists in Prestigious Intel Science Competition
Students are using nanotechnology to pursue advances in clean energy and health care technologies.
1/13/2010 8:03:13 PM | Education | 0 comments
UAlbany NanoCollege Receives Nearly $4M in Federal Funding to Enable Nanoscale Education and Research
Grants support acquisition of specialized Atomic Force Microscope for nanobioscience research, as well as novel innovations for health care, clean energy, military, aerospace and automotive sectors.
12/23/2009 12:12:53 AM | Education | 0 comments
More than 3,000 Students and 30,000 Community Members Visit UAlbany NanoCollege During 2009
Impact of CNSE educational and community outreach programs increases 20 percent over 2008
12/9/2009 8:16:25 AM | Events | 0 comments
UAlbany NanoCollege Partners with Leading Japanese Institutions to Host Nanotechnology Conference
Inaugural �Joint Workshop on Advanced Materials Research for Nanotechnology' is designed to build new research partnerships for nanoelectronics, power electronics and clean energy
12/9/2009 8:14:50 AM | Education | 0 comments
UAlbany NanoCollege Celebrates 15 Graduates as part of Record Total in 2009
The College of Nanoscale Science and Engineering ("CNSE") hosted a reception on Sunday, December 6 to celebrate the achievements of 15 students who received their diplomas at the University at Albany Graduate Commencement ceremony earlier in the day.
12/5/2009 1:37:05 PM | Education | 0 comments
UAlbany NanoCollege Hosts 300 Middle- and High-school Students for NanoCareer Day
The College of Nanoscale Science and Engineering ("CNSE") of the University at Albany hosted more than 300 middle- and high-school students from upstate New York for NanoCareer Day on December 3, providing a firsthand look at the emerging science of nanotechnology.
12/3/2009 11:45:56 PM | Partnership | 0 comments
TEL Joins SEMATECH�s Front End Processes Program at UAlbany NanoCollege
Joint effort to accelerate and extend advanced memory and logic technologies
11/24/2009 7:25:11 PM | Education | 0 comments
UAlbany NanoCollege Formally Opens Pioneering Baccalaureate Program in Nanoscale Science
Admission applications are now being accepted for the nation's first comprehensive undergraduate degree program in Nanoscale Science
11/24/2009 7:24:24 PM | Education | 0 comments
UAlbany NanoCollege Hosts More than 200 Girl Scouts for �NanoExplorations� Program
The event was part of CNSE's celebration of NANOvember, a month-long community and educational outreach initiative that includes a series of programs and activities highlighting the increasing impact of nanotechnology and the global leadership of the UAlbany NanoCollege in the most important science of the 21st century.
11/6/2009 7:16:43 PM | Research | 0 comments
Schumer, Gillibrand Secure Full Senate Approval for $500,000 for University at Albany�s College of Nanoscale Science and Engineering
Funding Would Further the Development and Deployment of New Nanoscale Fabrication and Measurement Strategies
10/23/2009 6:20:06 PM | Education | 0 comments
UAlbany NanoCollege Hosts 300 Students for NanoCareer Day
The College of Nanoscale Science and Engineering ("CNSE") of the University at Albany held its NanoCareer Day program on October 22 as part of its continuing effort to prepare students for a growing number of nanotechnology-related career opportunities in the Capital Region and New York State, while also addressing the national need to stimulate an interest in science and technology among America's younger generation.
10/13/2009 5:10:14 PM | Education | 0 comments
UAlbany NanoCollege Announces Extensive Community and Educational Outreach Effort During �NANOvember�
Programs will highlight growing impact of nanotechnology and global leadership of CNSE
10/8/2009 12:54:29 PM | Education | 0 comments
UAlbany NanoCollege and School of Business Develop World�s First MBA Program with Elective Track in Nanotechnology
Expansion of unique partnership also includes new doctoral-level Nano+MBA program
9/1/2009 5:49:01 PM | Products | 0 comments
SET Receives Strategic Wafer Level Packaging Equipment Order from SEMATECH at UAlbany NanoCollege
SEMATECH Will Perform Innovative 3D Applications at CNSE�s Albany NanoTech with SET�s High Accuracy FC300 System
9/1/2009 12:04:07 AM | Partnership | 0 comments
SEMATECH and Shin-Etsu Chemical Company Partner at the UAlbany NanoCollege to Develop Resist Materials for 22 nm Patterning Technologies and Beyond
Collaboration Will Demonstrate New EUV Materials at Resist Materials and Development Center at CNSE�s Albany NanoTech
8/12/2009 12:32:45 AM | Industry | 0 comments
UAlbany NanoCollege and CDTA Partner to Display Educational Nanotechnology Exhibit at Rensselaer Rail Station
Aims to showcase New York's global nanotechnology leadership and growing societal impact of nanoscale innovations at one of nation's busiest stations
8/6/2009 7:38:10 PM | Research | 0 comments
UAlbany NanoCollege Names Medical Expert to Spearhead New NanoHealth Initiative
The first physician to join the CNSE faculty, Dr. Sara Brenner will coordinate a new CNSE initiative to explore and apply nanotechnology innovations in health and safety applications.
8/4/2009 1:59:16 AM | Events | 0 comments
UAlbany NanoCollege to Host National Conference on Nanoscale Science and Engineering Education
Congressman Tonko to address event co-sponsored by CNSE and the National Center for Learning & Teaching at Northwestern University.
8/2/2009 1:26:59 PM | Education | 0 comments
INTY Summer Workshop Students Participate in Tree-Planting Ceremony at the UAlbany NanoCollege
The pilot program, which is aimed at engaging young people in the pioneering fields of nanoscale science and engineering, represents a partnership between CNSE and the Center for Urban Youth and Technology ("CUYT") at the University at Albany.
7/16/2009 6:06:10 PM | Partnership | 0 comments
Novellus Systems and UAlbany NanoCollege Launch $20 Million Nanoelectronics R&D; Partnership
Leading Global Equipment Supplier to Locate Researchers and Cutting-Edge Tools at CNSE's Albany NanoTech to Support Development of Sub-22nm Chip Technologies
7/12/2009 8:45:58 AM | Products | 0 comments
Olympus Integrated Technologies America Delivers IR Inspection and Measurement System to SEMATECH for 3D-TSV Interconnect Program at UAlbany NanoCollege
The system enables SEMATECH to verify alignment of bonded wafers and through silicon vias (TSV). SEMATECH�s 3D program was established to deliver robust 300mm equipment and process technology solutions for high-volume TSV manufacturing.
7/8/2009 11:27:09 PM | Industry | 0 comments
NYSERDA, UAlbany NanoCollege, and NYSTAR Partner to Provide Clean Energy and Environmental Technology Business Support Services
Already home to several companies involved in the manufacturing and deployment of clean energy and environmental technologies, STEP is an innovative knowledge-based community committed to the success of clean energy and environmental technology businesses so that they can grow, create jobs, and compete in national and global marketplaces.
7/7/2009 8:27:12 AM | Events | 0 comments
Recognized Experts at UAlbany NanoCollege Chosen to Deliver Presentations at SEMICON West 2009
Talks to focus on advanced processes, materials and manufacturing for nanoelectronics devices
6/26/2009 6:50:55 PM | Grants | 0 comments
Senate Appropriations Subcommittee Approves $500,000 for University at Albany�s College of Nanoscale Science and Engineering
Funding Would Further the Development and Deployment of New Nanoscale Fabrication and Measurement Strategies
6/12/2009 8:39:22 PM | Education | 0 comments
UAlbany NanoCollege Launches the World�s First Comprehensive Undergraduate Degree Program in Nanoscale Science
CNSE will become the world's first college to offer a comprehensive baccalaureate program in Nanoscale Science - launching a groundbreaking educational and research curriculum that will uniquely prepare students for growing scientific opportunities in the nanotechnology-enabled careers of the 21st century.
6/12/2009 12:31:22 AM | Industry | 0 comments
UAlbany NanoCollege Hosts High-Tech Job Fair
More than 400 attendees interview with M+W Zander to fill 40 positions on project management team to support construction of GlobalFoundries' computer chip fab.
6/3/2009 11:51:19 AM | Education | 0 comments
UAlbany NanoCollege Selects Students for Prestigious 2009 Summer Internship Program
Fourteen New York State residents are among 17 undergraduates chosen to participate
5/29/2009 10:16:20 AM | Education | 0 comments
Albany High School Students Graduate from Pioneering �NanoHigh� Initiative
18 students completed this year's NanoHigh program, which included the inaugural advanced nanoscience course
5/29/2009 10:14:56 AM | Industry | 0 comments
UAlbany NanoCollege to Host High-Tech Job Fair
M+W Zander to fill 40 positions on project management team to support construction of GlobalFoundries' computer chip manufacturing facility
5/25/2009 11:01:11 PM | Grants | 0 comments
UAlbany NanoCollege Selected to Receive Prestigious HP Innovations in Education Grant
$286,000 grant aims to improve student interest and achievement in engineering, computer science, and information technology.
5/9/2009 10:47:29 AM | Education | 0 comments
UAlbany NanoCollege Hosts K-12 Students from Throughout Tech Valley for NanoCareer Day
More than 300 middle- and high-school students from throughout Tech Valley got a firsthand look at careers in the emerging field of nanotechnology while attending NanoCareer Day on May 7 at the College of Nanoscale Science and Engineering ("CNSE") of the University at Albany.
4/29/2009 2:25:02 PM | Partnership | 0 comments
SEMATECH to Collaborate with TOK at New Resist Materials and Development Center at UAlbany NanoCollege
The RMDC�s mission is to develop resist and materials for 22 nm patterning technologies and beyond, and consists of both extreme ultraviolet (EUV) exposure capability and a portfolio of sponsored university research programs. TOK will team with researchers at SEMATECH to develop and demonstrate EUV materials and resists for use at the 22 nm node and beyond.
4/24/2009 2:09:28 PM | Partnership | 0 comments
Rudolph Technologies and SEMATECH Extend Collaboration at UAlbany NanoCollege
Joint development research will focus on 3D TSV process control
4/17/2009 11:10:16 AM | Partnership | 0 comments
Atotech and SEMATECH Partner at the UAlbany NanoCollege to Deliver Manufacturable Process Solutions for 3D Integrated Circuits
The new partnership will result in additional Atotech researchers being located at CNSE's Albany NanoTech, where Atotech launched a $5 million research and development program in January 2008 in collaboration with the UAlbany NanoCollege.
4/14/2009 11:55:45 PM | Research | 0 comments
SUSS MicroTec Test Systems Chosen for Advanced Testing Laboratory at UAlbany NanoCollege
SEMATECH and CNSE�s Albany NanoTech will work with SUSS MicroTec on next-generation semiconductor technologies
3/12/2009 6:57:57 PM | Events | 0 comments
UAlbany NanoCollege to Host Trio of Prestigious Global Nanotechnology Conferences
Events to draw hundreds of leading faculty, scientists and researchers to CNSE's Albany NanoTech.
2/25/2009 9:35:42 AM | Partnership | 0 comments
SEMATECH and Asahi Glass Form Joint Development Partnership to Commercialize Defect Free EUV Mask Blanks
SEMATECH and AGC will collaborate at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany on methods for improving extreme ultraviolet (EUV) mask blank yield to accelerate commercial manufacturing readiness.
2/25/2009 9:26:41 AM | Partnership | 0 comments
TOK Signs an LOI to Join SEMATECH for Joint Development on Next Generation Photoresists for EUV Lithography
Collaboration at UAlbany NanoCollege will address resolution, line-width roughness, and pattern collapse challenges.
2/25/2009 9:24:26 AM | Partnership | 0 comments
IBM, Applied Materials and UAlbany NanoCollege to Develop Process Modeling Technology for Manufacturing 22nm Chips
The project will combine IBM�s semiconductor technology research and development leadership and computer modeling expertise with Applied�s semiconductor processing knowledge to develop predictive models that can help minimize process variation, reduce development cost, and improve time to market for 22nm semiconductors.
2/22/2009 11:16:39 AM | Partnership | 0 comments
SEMATECH Partners with Metrosol on Advanced Gate Stack Research at UAlbany NanoCollege
Collaboration will address metrology and data-analysis solutions to enable manufacturing at 45 nm and beyond
2/6/2009 6:10:42 PM | Events | 0 comments
UAlbany NanoCollege Hosts Foreign Trade Commissioners
The College of Nanoscale Science and Engineering ("CNSE") of the University at Albany hosted foreign trade commissioners from more than 20 countries as part of a fact-finding trip designed to encourage international business growth throughout New York's Tech Valley region.
1/31/2009 12:12:00 PM | Education | 0 comments
UAlbany NanoCollege Holds Educational Forum for Assistant Superintendents from throughout Tech Valley
Assistant superintendents from nearly 40 school districts throughout the Tech Valley region visited the College of Nanoscale Science and Engineering (CNSE) of the University at Albany on January 30 as part of an educational forum to discuss opportunities to introduce nanoscale science and engineering concepts into the K-12 curriculum.
1/31/2009 12:10:44 PM | Education | 0 comments
UAlbany NanoCollege Hosts Students from Monterrey, Mexico in Unique Exchange Program
The College of Nanoscale Science and Engineering (CNSE) of the University at Albany hosted 16 students and three teachers from a Montessori School in Monterrey, Mexico on January 29 as part of a week-long student exchange program with the Woodland Hills Montessori School in North Greenbush.
1/28/2009 11:35:13 AM | Industry | 0 comments
WMHT, UAlbany NanoCollege Partner to Feature Nanotechnology on Statewide "New York Now" PBS Program
"Report from the NanoDesk" is believed to be the world's first TV segment to highlight the impact of innovative nanoscale technologies on public policy
1/13/2009 11:39:23 AM | Education | 0 comments
UAlbany NanoCollege and Commerce Technologies Create Joint Information Technology Workforce Development Program
Creation of a joint workforce development and recruitment program that will offer students unique educational and training opportunities at a leading global information technology ("IT") company headquartered at the UAlbany NanoCollege.
12/23/2008 12:46:50 AM | Education | 0 comments
UAlbany NanoCollege Hosts Over 2,500 Students and 25,000 Community Members During 2008
Participation in CNSE outreach programs shows 25 percent increase over 2007
12/21/2008 1:04:30 AM | Industry | 0 comments
Atotech Adds New Executive Sales Positions to its Operations at UAlbany NanoCollege
Global company will also hire additional researchers with success of R&D; program at CNSE
12/8/2008 9:46:16 PM | Grants | 0 comments
UAlbany NanoCollege Receives $300K Grant from Department of Energy for Clean Technology Research and Education
The College of Nanoscale Science and Engineering ("CNSE") of the University at Albany has been selected to receive a prestigious grant from the U.S. Department of Energy ("DOE") for pioneering research and education that will support the development of nanotechnology-enabled sensors and controls designed to sharply reduce emissions from fossil fuel-based power plants.
11/29/2008 10:09:58 AM | Industry | 0 comments
Vistec Lithography Completes Relocation from United Kingdom to Become First International High-Tech Equipment Supplier to Move Entire Operation to New York�s Capital Region
Leading nanotechnology company opens global headquarters and leading-edge manufacturing facility at Watervliet Arsenal to complement world-class R&D; center at UAlbany NanoCollege
11/17/2008 11:01:07 PM | Events | 0 comments
UAlbany NanoCollege and Colonie Center Partner to Unveil World�s First Nanotechnology Exhibit in a Shopping Center
Display launched as part of CNSE's �NANOvember' to be seen by millions of mall visitors
11/17/2008 11:00:09 PM | Education | 0 comments
Nanobioscience and Nanomedicine are Featured Themes During NanoCareer Day at UAlbany NanoCollege
Event draws 300 students to CNSE as part of 'NANOvember' initiative
10/30/2008 12:26:19 AM | Products | 0 comments
SEMATECH Acquires TSV RIE Tool From Tokyo Electron Limited
300 mm TSV RIE tool to be installed at SEMATECH�s 3D R&D; center at UAlbany NanoCollege
10/21/2008 12:27:34 AM | Events | 0 comments
UAlbany NanoCollege Launches Major Community and Educational Outreach Initiative During "NANOvember"
Events give students, teachers, region and state an inside view of CNSE and nanotechnology
10/10/2008 5:51:34 PM | Industry | 0 comments
UAlbany NanoCollege Gives Students a View of Growing Career Opportunities in Nanotechnology
Amid the growing number of nanotechnology-related career opportunities in the Capital Region and New York State, more than 300 elementary, middle- and high-school students got an inside look at the high-tech workplace of the future when they participated in NanoCareer Day.
10/9/2008 10:35:21 PM | Events | 0 comments
UAlbany NanoCollege and School of Business Partner to Host National Technology Transfer Society Conference
"Entrepreneurship and Innovation Clusters" is the theme of the 2008 annual conference of the national Technology Transfer Society, to be co-hosted this month by the University at Albany's College of Nanoscale Science and Engineering and School of Business.
8/27/2008 12:24:04 PM | Partnership | 0 comments
BioForce Nanosciences Announces Collaboration Agreement With UAlbany Nanocollege
Faculty, scientists and researchers at CNSE will utilize the BioForce Nano eNabler molecular printer for the development and evaluation of novel applications
8/19/2008 3:07:38 PM | Electronics | 0 comments
IBM Builds World's Smallest SRAM Memory Cell
SRAM chips are precursors to more complex devices such as microprocessors.
8/19/2008 3:04:16 PM | Grants | 0 comments
Dr. Helene Fulton Belz Donates $100K to Establish New Endowment at UAlbany NanoCollege
Katherine Belz Groves Memorial Graduate Fellowship in Nanoscale Science will support innovative education and research for a graduate student at CNSE.
8/10/2008 4:20:54 PM | Education | 0 comments
UAlbany NanoCollege Presents the 2008 Summer Internship Program Poster Presentation
Twenty-five undergraduate students who spent the summer doing real-world research at the College of Nanoscale Science and Engineering (CNSE) - including 17 who are New York State residents - displayed their findings at a Poster Presentation on August 8 that marked the capstone of CNSE's 2008 Summer Internship Program.
7/17/2008 11:51:40 AM | Investment | 0 comments
Governor Paterson and Legislative Leaders Announce IBM Will Make Major Investment in New York
IBM Agrees to Invest $1.5 Billion; State Will Invest $140 Million to Secure IBM Commitment, Creating 1,000 News Jobs
7/1/2008 9:04:39 PM | Education | 0 comments
UAlbany NanoCollege, Albany City School District Partner to Launch Nanoscale Science Summer Institute
25 middle-school students from five area school districts to participate in new initiative through NYS Education Department's Excelsior Scholars Program
6/15/2008 6:00:28 PM | Education | 0 comments
First Albany High School Class Graduates from the Pioneering NanoHigh� Program
Initiative developed jointly by the City School District of Albany and UAlbany NanoCollege is believed to be nation's first nanotechnology program at a public school
6/2/2008 9:33:43 PM | Education | 0 comments
UAlbany NanoCollege Selects Students for Prestigious Summer Internship Program
The College of Nanoscale Science and Engineering ("CNSE") of the University at Albany is pleased to announce the selection of 25 undergraduate students from the United States, Canada and Mexico - including 17 who are New York State residents - for participation in its prestigious Summer Internship Program.
6/1/2008 5:36:51 PM | Education | 0 comments
UAlbany NanoCollege Announces World's First Graduate in NanoEconomics
Dr. Erez Golan awarded Ph.D. for study in pioneering field that examines the economic and business principles of nanotechnology
5/20/2008 4:18:00 PM | Defense | 0 comments
U.S. Army and UAlbany NanoCollege Sign Agreement to Establish Unique Research Partnership
Signed an agreement today to create a new research partnership that is designed to accelerate the development and commercialization of nanotechnology-enabled sensors and electronic devices that will play a critical role in the U.S. Army's transition into a 21st-century fighting force.
5/20/2008 4:15:34 PM | Events | 0 comments
World-Class Lineup of Executives Highlights CIRCA'08 Presented by AeA and UAlbany NanoCollege
"Open Innovation of Nanotechnology in the Adjacent Markets of Transportation, Energy and Security" is theme for Convergence of International Research and Commercialization.
5/7/2008 10:23:21 PM | Industry | 0 comments
UAlbany NanoCollege Professor Pradeep Haldar is honored with UAlbany Award for Excellence in Research
As Director of CNSE's Energy and Environmental Technology Applications Center ("E2TAC"), Dr. Haldar conducts research on advanced fuel cells, advanced photovoltaics, next-generation superconductors, supercapacitors and advanced power electronics.
5/7/2008 12:53:11 AM | Events | 0 comments
AeA and The College of Nanoscale Science & Engineering of the University at Albany Announce CIRCA 08 Conference Speakers
'Open Innovation of Nanotechnology in the Adjacent Markets of Transportation, Energy and Security' is theme for Convergence of International Research and Commercialization
4/22/2008 6:11:47 PM | Events | 0 comments
City School District of Albany, UAlbany NanoCollege Partner to Present First-Ever NanoEducation Summit
More than 100 teachers, administrators and school board members from throughout the region are scheduled to attend the forum, which is designed to increase educators' awareness about preparing K-12 students for science literacy - with a focus on the emerging field of nanotechnology, which has been described by the National Nanotechnology Initiative as "leading to the next Industrial Revolution."
4/22/2008 1:00:48 AM | Partnership | 0 comments
National Institute of Standards and Technology Signs Cooperative Agreement with U-Albany Nano College
NIST and CNSE will establish policies and general procedures for cooperating and coordinating efforts in areas of common interest, including the science and technology of measuring materials at the nanometer scale, as well as creating new standards for nanomanufacturing.
4/10/2008 11:54:47 AM | Events | 0 comments
UAlbany NanoCollege Features Clean Energy and Environmental Technologies at NanoCareer Day
More than 300 middle- and high-school students see how nanotechnology is enabling 'New Energy, Clean Environment, Bright Future'
4/6/2008 7:47:48 PM | Energy | 0 comments
UAlbany NanoCollege Chosen for Prestigious International Consortium Focused on Renewable Energy Technologies
Newly formed global consortium designed to accelerate the adaptation and implementation of renewable energy technologies around the world.

« Back To List »

« GET LISTED »
- submit company
- submit news
- submit events
- advertise here

« EVENTS »
- More Events


Copyright � 2017 Nanotechwire.com | Privacy Policy |