submit news    HOME | FEEDBACK  


« NAVIGATION »
NEWS

- Bio/Medicine

- Chemicals

- Defense

- Drug Delivery

- Education

- Electronics

- Energy

- Events

- Grants

- Industry

- Investment

- Litigation

- Materials

- MEMS

- Nanofabrication

- Nanoparticles

- Nanotubes

- Optics

- Partnership

- Patent

- Products

- Quantum dots

- Research

- Smart Dust

- Software
COMPANIES
EVENTS

- Browse by Month

- Current Shows

- Previous Shows

- Submit Events
FEEDBACK
ADVERTISE
LINK TO US

« PARTNERS »
Become A Nanotechwire Partner

FEI Company

Veeco Instruments

Nano Science and Technology Institute

National Nanotechnology Initiative

Nanotechnology at Zyvex

Want to see your Company or Organization listed above? Become A Nanotechwire Partner Today - click here
« NEWSLETTER »



« SEARCH »







SEMATECH
http://www.sematech.org/
SEMATECH, headquartered in Austin, Texas, is the world's catalyst for accelerating the commercialization of technology innovations into manufacturing solutions.

By setting global direction, creating opportunities for flexible collaboration, and conducting strategic R&D;, SEMATECH delivers significant return on investment to our semiconductor and emerging technology partners.

ASSOCIATED NEWS:
5/19/2011 12:57:50 PM | Partnership | 0 comments
FUJIFILM Joins SEMATECH�s Resist Center for Advanced EUV Resist Development at UAlbany NanoCollege
As a resist member of SEMATECH�s lithography program, FUJIFILM will collaborate with SEMATECH engineers on critical resist issues in extreme ultraviolet (EUV) lithography.
5/11/2011 10:29:22 AM | Partnership | 0 comments
TSMC Joins SEMATECH to Accelerate Semiconductor Technology Development
Cooperation will focus on research and development for exploratory technologies on 20 nm generation and beyond
4/13/2011 4:23:08 PM | Industry | 0 comments
U.S. Department of Energy Awards Funding to CNSE CIGS Solar Project
Veeco to Serve as Key Partner to New Facility
4/7/2011 11:46:23 AM | Industry | 0 comments
In major victory, Schumer announces CNSE-SEMATECH big winner of high-tech grant that will make Albany a national center in solar cell research and create thousands of jobs
Photovoltaic Consortium Will Be Hosted At Albany�s College of Nanoscale Science And Engineering; Will Boost Cutting-Edge, High Tech Industry In Capital Region
3/9/2011 10:25:08 AM | Industry | 0 comments
SEMATECH Reports Advances in Bond Process for 3D Integration Development
Results are key steps towards bridging high-volume manufacturing readiness gaps for an integrated bonding tool platform
3/9/2011 10:21:28 AM | Partnership | 0 comments
Hynix Semiconductor Joins SEMATECH�s 3D Interconnect Program at UAlbany NanoCollege
Collaborative effort to address critical infrastructure and technology gaps to drive wide I/O DRAM solutions
2/28/2011 8:05:49 AM | Events | 0 comments
SEMATECH to Present Advances in Lithography and Demonstrate Leading Edge Technologies at SPIE 2011
Papers showcase technological leadership in enabling EUVL pilot line readiness and metrology techniques for defect inspection and 3D TSVs
2/8/2011 5:43:31 PM | Events | 0 comments
SEMATECH Announces 2011 Knowledge Series Line Up
Public forums aimed at forging consensus and driving solutions on critical industry challenges
1/10/2011 11:32:59 PM | Partnership | 0 comments
Nissan Chemical Joins SEMATECH�s Resist Center at UAlbany NanoCollege
NCI will collaborate with SEMATECH engineers on advanced adhesion enhancing materials in extreme ultraviolet (EUV) lithography. Specific areas include reduction of line edge roughness (LER), elimination of pattern collapse in images below 22 nm, and ultimate resolution of new resists.
12/8/2010 2:23:18 PM | Partnership | 0 comments
SEMATECH, SIA and SRC Team to Establish New Collaborative Program for Enabling 3D ICs
Industry pulls together to develop industry standards to guide 3D integration and accelerate technology adoption
12/8/2010 2:21:04 PM | Partnership | 0 comments
Nanosys Partners with SEMATECH to Develop Flash Memory Technologies
Joint effort to extend the technology roadmap for non-volatile memory based on advanced material technology
11/8/2010 6:38:12 PM | Events | 0 comments
SEMATECH Researchers to Present Breakthrough Innovations in III-V MOSFETs, FinFETs and Resistive RAMs at IEDM
Workshops and Technical Papers Outline Emerging Solutions for Critical Dimension Scaling and Material Technology
11/3/2010 10:35:28 AM | Industry | 0 comments
International SEMATECH Manufacturing Initiative Expands Portfolio with Launch of New Mature Fabs Program
To improve manufacturing productivity, cost and cycle time for a targeted segment of manufacturers in the semiconductor industry, International SEMATECH Manufacturing Initiative (ISMI), announced today that it has launched a new Mature Fabs program.
10/14/2010 2:37:55 PM | Industry | 0 comments
SEMATECH Gate-Stack Symposium Identifies Post-22 nm Strategies
Participants at the recent 7th Annual International Symposium on Advanced Gate Stack Technology discussed strategies for implementing advanced logic and memory technologies for sub-16 nm node and beyond process technologies.
10/13/2010 7:51:46 AM | Industry | 0 comments
International SEMATECH Manufacturing Initiative to Relocate Its Headquarters and Operations to the UAlbany NanoCollege
$100M initiative will create more than 100 high-tech jobs and establish New York as the global leader for the nanoelectronics' industry's transition to 450mm wafer manufacturing technology.
9/2/2010 11:34:56 PM | Partnership | 0 comments
Eyelit's Award-winning Integrated Manufacturing Execution (MES) Software Selected and Implemented by SEMATECH to Improve the Process of Collecting Critical Manufacturing Data
Implementation of Eyelit MES, Completed in Just Eight Weeks, Drives Manufacturing Process Improvements for SEMATECH�s Mask Blank Development Center in Albany, New York
8/30/2010 11:50:24 PM | Research | 0 comments
SEMATECH Completes Fully Integrated 300mm Line for Via-Mid 3D ICs at UAlbany NanoCollege
Capabilities in Equipment, Processing, and Metrology to Advance 3D Integration Technologies for Future Devices
8/24/2010 12:01:06 PM | Partnership | 0 comments
SEMATECH and Dai Nippon Printing Collaborate to Develop Advanced Process Technologies at UAlbany NanoCollege
Partnership Combines Strengths to Speed Commercialization of Mask Lithography for Semiconductor Manufacturing
8/3/2010 9:57:43 PM | Research | 0 comments
SEMATECH and Carl Zeiss Demonstrate Mask Pattern Alignment and Registration to Enable Double Patterning Lithography
Next Generation Overlay Metrology System Improves Photomask Registration Measurement to Advance Manufacturing
7/9/2010 8:54:18 AM | Partnership | 0 comments
SEMATECH and Carl Zeiss to Develop First-Ever EUV Aerial Imaging Tool
Agreement Reached to Accelerate Development of EUV Inspection Tool to Target Mask Defects for 22 nm Half-Pitch Node and Below
7/7/2010 11:07:12 PM | Partnership | 0 comments
SEMATECH and Lasertec Partner at UAlbany NanoCollege to Develop TSV Solutions for Chip-Stacking Applications
Lasertec Corporation of Japan has joined SEMATECH�s 3D Interconnect Program at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany, and will partner with SEMATECH to develop robust, cost-effective process metrology technology solutions for readying high-volume via-mid through-silicon via (TSV) manufacturing.
6/26/2010 7:23:33 PM | Industry | 0 comments
SEMATECH Makes Important Advances in Power and Performance Features for Next-Generation IC Devices
SEMATECH technologists outline progress on innovative materials and processes for CMOS and emerging technologies
6/15/2010 11:23:38 PM | Partnership | 0 comments
Qualcomm and SEMATECH Sign Collaborative Agreement
As the first integrated, fabless chip manufacturer to join SEMATECH, Qualcomm plans to participate in a high-level engagement to assess the feasibility of technologies that are designed to extend Moore�s Law.
6/10/2010 10:55:56 AM | Research | 0 comments
SEMATECH Achieves Submicron 3D IC Bond Alignment Results in Integrated Bonding Tool Platform at UAlbany NanoCollege
Key Processes Critical for Building a Strong Infrastructure for Volume Production of TSVs
6/2/2010 4:23:27 PM | Partnership | 0 comments
SEMATECH and AZ Electronic Materials to Partner on Critical Issues in EUV Lithography at UAlbany NanoCollege
Collaboration at SEMATECH�s Resist Center to demonstrate EUV materials and resists for 22nm and beyond
5/26/2010 4:43:51 PM | Events | 0 comments
Industry Leaders Expect EUV Lithography for Semiconductor Manufacturing in 2014 at SEMATECH Litho Forum
Industry collaboration is required to tackle business and technical challenges facing lithography
5/11/2010 5:14:11 PM | Partnership | 0 comments
JSR Joins SEMATECH�s Resist Center at UAlbany NanoCollege
Collaboration to Provide Cost-Effective Semiconductor Materials for 22nm Nodes
5/4/2010 6:29:51 PM | Events | 0 comments
Leading Semiconductor Executives Join Speaker Lineup at SEMATECH�s Lithography Conference
Event Brings Together Strategic Decision Makers and Technical Experts to Examine Best Ways to Maximize the Value of Innovative R&D; Solutions.
4/29/2010 6:08:40 PM | Industry | 0 comments
SEMATECH Reports Synergistic Advances in New Materials and Process Innovation for Emerging Semiconductor Devices
Research papers outlined leading-edge research in areas such as high-k/metal gate (HKMG) materials, flash memory, and planar and non-planar CMOS technologies.
4/21/2010 11:22:54 AM | Industry | 0 comments
SEMATECH Technologists Detail Process Advances to Accelerate 3D Manufacturing Readiness
3D integration offers the promise of higher performance, higher density, higher functionality, smaller form factor, and potential cost reduction.
3/15/2010 11:00:50 PM | Events | 0 comments
SEMATECH SPCC Conference Featuring New Approaches to III-V Materials
This year�s annual SPCC will focus on particle removal, including next-generation materials, controlling processes to minimize impact on fragile device structures, non-damaging methods to remove resist, and new metrology approaches for measuring passivation and surface defects.
2/18/2010 6:53:41 PM | Partnership | 0 comments
SEMATECH Kicks Off Consortium at UAlbany NanoCollege to Develop Crucial EUV Metrology Tools
Collaboration at CNSE�s Albany NanoTech Complex will Target Mask Defects at 22 nm and Below
2/17/2010 8:15:31 PM | Events | 0 comments
SEMATECH to Show Advances in EUV Lithography at SPIE 2010
Critical issues and potential solutions in preparing extreme ultraviolet lithography (EUVL) for high-volume manufacturing will be explored by SEMATECH technologists at the SPIE Advanced Lithography 2010 conferences Feb. 21-25 in San Jose, CA.
2/9/2010 7:03:27 PM | Partnership | 0 comments
SEMATECH and ASML Form Partnership at UAlbany NanoCollege to Tackle Crucial EUVL Challenges
Collaboration at CNSE�s Albany NanoTech Complex to accelerate mask, source, and manufacturing solutions
12/11/2009 6:52:11 PM | Partnership | 0 comments
SEMATECH and SUSS MicroTec Collaborate on Next-generation Device Testing Solutions
Partnership to focus on CMOS, Memory, and Emerging Technologies
12/9/2009 7:06:50 AM | Events | 0 comments
Technologists Chart New Directions in Emerging Technologies at SEMATECH-Led Workshop
Presentations Outline Progress in Next-Generation Memories, High-Mobility Channel Transistors, and Energy-Efficient Devices
12/7/2009 5:38:15 PM | Partnership | 0 comments
Core Wafer Systems and SEMATECH Partner to Develop Advanced Deep Submicron Reliability Test Solutions for Next-generation Semiconductor Technologies
As a member of SEMATECH�s FEP program, CWS will collaborate with FEP experts in the characterization arena to develop an analysis tool for reliability testing of deep submicron devices.
12/3/2009 11:45:56 PM | Partnership | 0 comments
TEL Joins SEMATECH�s Front End Processes Program at UAlbany NanoCollege
Joint effort to accelerate and extend advanced memory and logic technologies
12/1/2009 12:27:47 AM | Industry | 0 comments
SEMATECH Researchers to Unveil Next-Generation Device and Process Breakthroughs at IEDM
Workshop and technical papers outline emerging solutions for logic and memory devices
11/12/2009 11:43:06 PM | Industry | 0 comments
SEMATECH Symposia Reveal Continuing Momentum in EUVL and 193 nm Immersion Extensions
EUV and Double Patterning to Maintain Lithography Roadmap for Next Several Technology Nodes
10/28/2009 9:39:06 AM | Research | 0 comments
SEMATECH Reports New Approach to Simulate Transistor Noise: Accelerates Development of Advanced Device Processing
Transistor Noise Model Improves Prediction Accuracy, Supports Continued Device Scaling
9/1/2009 5:49:01 PM | Products | 0 comments
SET Receives Strategic Wafer Level Packaging Equipment Order from SEMATECH at UAlbany NanoCollege
SEMATECH Will Perform Innovative 3D Applications at CNSE�s Albany NanoTech with SET�s High Accuracy FC300 System
9/1/2009 12:04:07 AM | Partnership | 0 comments
SEMATECH and Shin-Etsu Chemical Company Partner at the UAlbany NanoCollege to Develop Resist Materials for 22 nm Patterning Technologies and Beyond
Collaboration Will Demonstrate New EUV Materials at Resist Materials and Development Center at CNSE�s Albany NanoTech
7/12/2009 8:45:58 AM | Products | 0 comments
Olympus Integrated Technologies America Delivers IR Inspection and Measurement System to SEMATECH for 3D-TSV Interconnect Program at UAlbany NanoCollege
The system enables SEMATECH to verify alignment of bonded wafers and through silicon vias (TSV). SEMATECH�s 3D program was established to deliver robust 300mm equipment and process technology solutions for high-volume TSV manufacturing.
6/16/2009 12:36:54 AM | Events | 0 comments
SEMATECH to Reveal Breakthroughs in Controlling Parasitic Contact Resistance in Advanced CMOS Devices
Technical experts to showcase new research results in advanced gate stack, high mobility channels, and 3D interconnect TSVs at VLSI symposium
6/4/2009 9:00:54 AM | Events | 0 comments
Technologists Investigate Challenges for 3D Interconnect Metrology at SEMATECH Workshop During SEMICON West
SEMATECH�s 3D program was established to deliver robust 300 mm equipment and process technology solutions for high-volume through-silicon-via (TSV) manufacturing
4/29/2009 2:25:02 PM | Partnership | 0 comments
SEMATECH to Collaborate with TOK at New Resist Materials and Development Center at UAlbany NanoCollege
The RMDC�s mission is to develop resist and materials for 22 nm patterning technologies and beyond, and consists of both extreme ultraviolet (EUV) exposure capability and a portfolio of sponsored university research programs. TOK will team with researchers at SEMATECH to develop and demonstrate EUV materials and resists for use at the 22 nm node and beyond.
4/27/2009 2:13:13 PM | Electronics | 0 comments
SEMATECH Announces Breakthroughs in High Mobility Channels, Scaled High-k Gate Stacks, and Future Non-Volatile Memories
Experts to Present Significant Progress on Key Next Generation Technologies at VLSI-TSA
4/24/2009 2:09:28 PM | Partnership | 0 comments
Rudolph Technologies and SEMATECH Extend Collaboration at UAlbany NanoCollege
Joint development research will focus on 3D TSV process control
4/17/2009 11:10:16 AM | Partnership | 0 comments
Atotech and SEMATECH Partner at the UAlbany NanoCollege to Deliver Manufacturable Process Solutions for 3D Integrated Circuits
The new partnership will result in additional Atotech researchers being located at CNSE's Albany NanoTech, where Atotech launched a $5 million research and development program in January 2008 in collaboration with the UAlbany NanoCollege.
4/16/2009 6:11:34 PM | Partnership | 0 comments
SEMATECH and Canon ANELVA Partner to Develop Advanced Materials and Processes for Future Non-volatile Memory Devices
Collaboration to drive development of scalable materials for sub 40 nm memory nodes
2/25/2009 9:35:42 AM | Partnership | 0 comments
SEMATECH and Asahi Glass Form Joint Development Partnership to Commercialize Defect Free EUV Mask Blanks
SEMATECH and AGC will collaborate at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany on methods for improving extreme ultraviolet (EUV) mask blank yield to accelerate commercial manufacturing readiness.
2/25/2009 9:29:06 AM | Events | 0 comments
SEMATECH SPCC 2009 to Focus on Solutions for Emerging Technologies in Semiconductor Surface Preparation
Annual Conference Offers Technical Insights on Current and Future Wafer and Mask Cleaning Technologies
2/25/2009 9:26:41 AM | Partnership | 0 comments
TOK Signs an LOI to Join SEMATECH for Joint Development on Next Generation Photoresists for EUV Lithography
Collaboration at UAlbany NanoCollege will address resolution, line-width roughness, and pattern collapse challenges.
2/22/2009 11:16:39 AM | Partnership | 0 comments
SEMATECH Partners with Metrosol on Advanced Gate Stack Research at UAlbany NanoCollege
Collaboration will address metrology and data-analysis solutions to enable manufacturing at 45 nm and beyond
2/11/2009 6:23:34 PM | Events | 0 comments
SEMATECH Experts Report Progress on Enabling EUVL at SPIE
Papers Provide Innovative and Practical Options for Realizing the Semiconductor Roadmap for the 22 nm Half-Pitch Node and Beyond
2/10/2009 11:53:53 PM | Events | 0 comments
SEMATECH Announces 2009 Knowledge Series Lineup
Educational forums to focus on accelerating technology innovations and manufacturing solutions
1/30/2009 12:43:40 AM | Partnership | 0 comments
NEXX Systems and SEMATECH to Collaborate on 3D Interconnect Research at UAlbany NanoCollege
As a member of SEMATECH's 3D program, NEXX will collaborate with SEMATECH in leading-edge research on innovative electrodeposition technology and the development of high yield, low cost copper electroplating solutions that will enable high density 3D through-silicon-vias (TSVs).
10/30/2008 12:26:19 AM | Products | 0 comments
SEMATECH Acquires TSV RIE Tool From Tokyo Electron Limited
300 mm TSV RIE tool to be installed at SEMATECH�s 3D R&D; center at UAlbany NanoCollege
10/14/2008 7:59:22 PM | Industry | 0 comments
SEMATECH Reports Resist and Mask Progress at EUVL Symposium
SEMATECH engineers and the industry at large have made significant advances in moving forward the infrastructure that will prepare extreme ultraviolet lithography (EUVL) for cost-effective manufacturing.
9/9/2008 6:51:29 PM | Industry | 0 comments
SEMATECH Names Veteran Technologists to Lead Lithography Division
Bryan Rice and Stefan Wurm named Director and Associate Director
8/19/2008 1:13:05 AM | Events | 0 comments
SEMATECH to Host 3D IC Workshop on Manufacturing and Reliability September 25-26
Experts to Address Critical Challenges for Introduction of 3D IC Products
8/12/2008 11:31:22 PM | Research | 0 comments
SEMATECH Reports Major Advances in EUV Resist Development
SEMATECH engineers and resist suppliers demonstrate CAR platforms that support 22 nm introduction
7/22/2008 4:27:05 PM | Events | 0 comments
Technologists Assess 3D Interconnect Technologies and Equipment Readiness at SEMATECH Workshop during SEMICON West
The workshop entitled �Equipment Challenges for 3D Interconnect,� conducted on July 16th during SEMICON West, attracted approximately 70 IC manufacturers, equipment suppliers and industry experts. The goals of the workshop were to explore the challenges for making wafer processing equipment capable for 3D interconnects.
7/8/2008 5:35:10 PM | Events | 0 comments
SEMATECH Announces Speaker Lineup for SEMICON West
Experts� Keynotes to Highlight Industry Trends, Technical and Manufacturing Solutions
6/17/2008 5:30:19 PM | Events | 0 comments
SEMATECH Technologists Report on Enabling Results in the Areas of New Materials for Advanced High Mobility Channels and High-k Metal Gates
Papers to be Presented at the 2008 Symposia on VLSI Technology and Circuits Describe Innovative and Practical Processes for the Semiconductor Industry
5/7/2008 10:09:22 PM | Events | 0 comments
SEMATECH to Hold Workshops on Developments in EUV Source Technology and Forward Thinking Solutions for Optical Lithography
Technical Workshops Held in Conjunction with Highly Anticipated Litho Forum
4/25/2008 1:26:50 AM | Events | 0 comments
SEMATECH's Litho Forum Spotlights High-Stakes Shift to Next-Generation Manufacturing
The semiconductor industry's movers and shakers will gather May 12-14 at SEMATECH's bi-annual Litho Forum to debate how best to approach the high-stakes shift to 22 nm manufacturing.
4/22/2008 12:58:27 AM | Materials | 0 comments
SEMATECH Researchers Demonstrate High-k Metal Gates for 22 nm Node and Advancements Resulting in Practical FinFETs and High Mobility Ge Channels
The new materials, processes and concepts discussed in a series of seven research papers describe how current semiconductor technologies can benefit from performance-enhancing features for future scaling needs.
3/27/2008 6:22:40 PM | Events | 0 comments
SEMATECH Lithography Forum to Focus on Major Technology Shift
Underscoring its role as industry consensus builder, SEMATECH will host its third Lithography Forum May 12-14, in sessions designed to elicit views and drive agreement on the most realistic approach to next-generation lithography technology.
2/12/2008 5:47:16 PM | Research | 0 comments
SEMATECH Achieves Single Digit EUV Mask Blank Defect Goal
Technologists at SEMATECH have successfully demonstrated world-class results in low defect density for mask blanks used in extreme ultraviolet lithography (EUVL) - pushing the technology another significant step toward readiness for advanced manufacturing.
1/25/2008 5:52:53 PM | Events | 0 comments
SEMATECH Announces 2008 SEMATECH Knowledge Series
A lineup of seminal public meetings on critical issues affecting the semiconductor industry.
12/6/2007 4:03:06 PM | Research | 0 comments
SEMATECH to Reveal New Pathways for Advanced Transistor Scaling at IEDM
SEMATECH's continuing leadership in developing alternative transistor materials and processes for advanced semiconductors will be further demonstrated here next week at the 2007 IEEE International Electron Devices Meeting (IEDM).
8/3/2007 10:37:42 PM | Events | 0 comments
Texas Nano Future Statewide Conference on Nanotechnology to Feature Lessons from ATDF Training
The conference, aimed at educators, economic developers, and interested members of the public, will include lectures, workshops and panel discussions on the role and impact of nanotechnology on education and economic growth in Texas.
5/12/2007 4:45:19 PM | Research | 0 comments
International SEMATECH agrees to locate headquarters at UAlbany NanoCollege
$300 million proposal brings equal investment by international semiconductor consortium
5/3/2007 5:53:25 PM | Research | 0 comments
International SEMATECH North to Expand Immersion Lithography Research Program with Columbia University
New initiative builds on $10M in funding provided byInternational SEMATECH North to New York's colleges and universities
4/24/2007 7:34:12 PM | Partnership | 0 comments
Veeco Selected by SEMATECH for $2.4 Million EUV Lithography Tool Development Project
Ion Beam Deposition tools are used in the fabrication of mask blanks � the base material for the stencil-like photomasks that are used to describe patterns on nanoelectronics wafers � and is essential for the deposition of ultra-thin layers of materials that are demanded in the EUV manufacturing process.
2/13/2007 5:55:08 PM | Education | 0 comments
ATDF & ACC Seeking Summer Interns for Innovative Nanoscholars Program
ATDF, the nanoelectronics R&D; foundry, and the Austin Community College District (ACC) are offering online applications for the summer session of the Nanoelectronic Workforce Development Initiative (NWDI) � an internship program for college students interested in nanotechnology.
12/12/2006 9:02:10 PM | Research | 0 comments
Manufacturable, Leading-Edge Solutions for Logic and Memory Devices Are Revealed in SEMATECH Papers at IEDM 2006
Written by more than 40 SEMATECH-affiliated authors, the papers document work in high-k materials, metal gates, performance enhancements in p- and n-channel devices, lifetime/threshold voltage (Vt) stability analysis methodology, finFETs, and memory dielectrics
2/14/2006 2:22:40 PM | Events | 0 comments
Nanoscience Experts to Highlight ICMI Meeting in Austin
Leading technologists in transistor and interconnect research, and the metrology that supports it, will highlight the 7th International Conference on Microelectronics and Interfaces (ICMI), scheduled March 6�8 at the Omni Austin Hotel�Downtown
11/2/2005 8:55:51 PM | Electronics | 0 comments
Improved Materials Dominate Chip Evolution, Technologist Tells ISMI Symposium
Chip manufacturing will migrate to new technologies, such as spintronics, carbon nanotubes, nanowire, and pattern self-assembly
8/9/2005 6:13:54 PM | Research | 0 comments
SEMATECH Identifies Pore-Sealing Solution for Advanced Low-k Materials
SEMATECH engineers have developed an innovative pore sealing technique that appears to prevent metal and precursor penetration into low-k dielectric materials, easing the introduction of low-k at the 45 nm technology node.
5/13/2005 10:11:49 AM | Events | 0 comments
SEMATECH Advanced Technology Development Facility to Be Topic of SEMI Dallas Lunch Forum on May 24
Following the luncheon program, participants may join a tour of the University of Texas at Dallas clean room and processing facilities, materials characterization and nanotechnology facilities, and ultra-high-vacuum wafer bonding facility.
3/11/2005 3:09:56 PM | Industry | 0 comments
NIST Unveils Atom-based Standards For Measuring Chip Features Under 50 Nanometers
Device features on computer chips as small as 40 nanometers (nm) wide�less than one-thousandth the width of a human hair�can now be measured reliably thanks to new test structures developed by a team of physicists, engineers, and statisticians at the Commerce Department's National Institute of Standards and Technology (NIST), SEMATECH, and other collaborators
2/24/2005 4:39:55 PM | Electronics | 0 comments
Xidex Corporation and SEMATECH have developed one of Texas' first commercial applications of nanotechnology for semiconductor production
AMRC Research Leads to Promising Nanotechnology Application for Chip Industry
1/24/2005 12:35:49 AM | Industry | 0 comments
MEMS Industry Group Continues Phase II of MEMS CAT Project
The MEMS CAT will be the industry's first standardized tool for configuring the cost to develop and produce a MEMS device.
12/21/2004 12:29:16 AM | Research | 0 comments
SEMATECH North Advances EUV Technology by Reducing Defects in Mask Blanks
"technical payback" for the investment in SEMATECH North, a joint five-year program between SEMATECH and the University at Albany-State University of New York.
12/17/2004 9:34:35 AM | Research, Partnership | 0 comments
International Sematech has expanded its operation at the state university's Albany NanoTech research site as NanoFab North opens
Sematech ramps up; work begins at NanoFab North

« Back To List »

« GET LISTED »
- submit company
- submit news
- submit events
- advertise here

« EVENTS »
- More Events


Copyright � 2017 Nanotechwire.com | Privacy Policy |